Будинки Вперед мислення Виклики чіпінгу стикаються із законом Мура

Виклики чіпінгу стикаються із законом Мура

Відео: БАГ НА НЕВИДИМОСТЬ В ГРЭННИ! - Granny (Вересень 2024)

Відео: БАГ НА НЕВИДИМОСТЬ В ГРЭННИ! - Granny (Вересень 2024)
Anonim

Кожні кілька років з'являються історії про те, як закон Мура - концепція того, що кількість транзисторів у певній області подвоюється кожні два роки або приблизно - вмирає. Такі історії існують десятиліттями, але ми все ще продовжуємо бачити нові мікросхеми з більшою кількістю транзисторів кожні кілька років, майже за графіком.

Наприклад, у лютому Intel представила 4, 3-мільярдний транзисторний чіп під назвою Xeon E7v2 або Ivytown на 541 квадратному міліметровому штампі, використовуючи 22nm-процес. Десять років тому Intel Xeon високого класу, відомий як Gallatin, являв собою 130nm чіп з 82 мільйонами транзисторів на 555 квадратних міліметрах. Це не зовсім в курсі подвоєння кожні два роки, але це близько.

Звичайно, це не означає, що він буде працювати назавжди, і дійсно, виготовлення чіпів переживає деякі великі зміни, які впливають як на виробництво, так і на дизайн мікросхем, і все це матиме тривалий вплив на користувачів.

Очевидно, що давно було зрозуміло, що тактові швидкості не збільшуються. Зрештою, Intel представила мікросхеми Pentium у 2004 році, які працювали на частоті 3, 6 ГГц; сьогодні найпопулярніший Core i7 компанії працює на 3, 5 ГГц з максимальною швидкістю турбо 3, 9 ГГц. (Звичайно, є люди, які розігнали, але так завжди було.)

Натомість дизайнери відреагували, додавши більше ядер до мікросхем і підвищивши ефективність кожного окремого ядра. Сьогодні навіть мікросхема найнижчого рівня, яку ви можете придбати для робочого столу чи ноутбука, є двоядерним чіпом, а чотириядерні версії є звичайною справою. Навіть у телефонах ми зараз бачимо багато чотирьохядерних і навіть октарів.

Це чудово для запуску декількох додатків одночасно (багатозадачність) або для додатків, які дійсно можуть скористатися кількома ядрами і потоками, але більшість програм все ще не роблять цього. Розробники - особливо ті, хто створює інструменти для розробників - витратили багато часу на те, щоб їх додатки працювали краще з декількома ядрами, але все ж є багато додатків, які залежать в основному від однопотокової продуктивності.

Крім того, розробники процесорів розміщують набагато більше графічних ядер та інших спеціалізованих ядер (таких, як, що кодують або декодують відео, або шифрують або дешифрують дані) в процесорі додатків, у чому більшість галузі називають гетерогенну обробку. AMD, Qualcomm та MediaTek всі наполягали на цій концепції, що має сенс для деяких речей. Це, безумовно, допомагає в інтеграції - робить мікросхеми меншими та меншими за потужність; і, здається, має ідеальний сенс для мобільних процесорів - таких як підхід big.LITTLE, який ARM застосував там, де він поєднує в собі більш потужні, але більш енергетичні ядра з тими, які забирають лише трохи енергії. Для багатьох з нас отримання чіпів, які використовують менше енергії для однакової продуктивності, а отже, мобільні пристрої, які довше заряджаються від акумулятора, є великою справою.

Використання величезної кількості ядер - будь то графічні ядра чи спеціалізовані ядра x86 - безумовно, має величезний вплив на високопродуктивні обчислення, де такі речі, як плати Tesla Nvidia або Xeon Phi (Knight's Corner), мають величезний вплив. Дійсно, сьогодні більшість топ-суперкомп'ютерів використовують один із цих підходів. Але він все ще працює лише для певного виду використання, насамперед для додатків, насамперед для додатків, які використовують команди SIMD (одна інструкція, кілька даних). Для інших речей такий підхід не працює.

І справа не тільки в тому, що чіпи не можуть працювати швидше. На виробничій стороні існують інші перешкоди для накладення більшої кількості транзисторів на штамп. Протягом останнього десятиліття ми бачили всілякі нові методи виготовлення чіпсів, переходячи від традиційної суміші кремнію, кисню та алюмінію до нових методик, таких як "напружений кремній" (де інженери розтягують атоми кремнію), замінюючи ворота з металевими воротами з високим K / металом, і останнім часом рухаються від традиційних плоских воріт до 3-D воріт, відомих як на мові IntelFET або "TriGate". Перші дві методики тепер використовуються всіма передовими виробниками чіпів, і лідери планують впровадити FinFET в наступному році або близько того після впровадження 2012 року від Intel.

Однією з альтернатив називається FD-SOI (повністю виснажений кремній на ізоляторі), техніка, яку особливо вдарила ST Microelectronics, яка використовує тонкий ізоляційний шар між кремнієвою підкладкою і каналом для забезпечення кращого електричного управління крихітними транзисторами, теорія забезпечує кращі показники роботи та меншу потужність. Але поки що, здається, він не має майже таких імпульсів у великих виробників, які мають FinFET.

Останнім часом Intel докладає великих зусиль щодо того, як далеко випереджати її у виробництві мікросхем, і дійсно, він розпочав об'ємне виробництво основних мікропроцесорів Core на своєму 22-нм процесі за технологією TriGate близько двох років тому і планує поставляти 14-нм продукцію у другій половині цього року. Тим часом, великі ливарні компанії планують на 20-нм виробництво в обсязі пізніше цього року, використовуючи традиційні плоскі транзистори, з 14 або 16 нм продуктами з FinFETs, запланованими на наступний рік.

Intel демонструє слайди, показуючи, наскільки далеко попереду щільність чіпа, як, наприклад, цей з дня його аналітики:

Але засновники не згодні. Ось слайд із останнього дзвінка інвесторів TSMC, в якому сказано, що він може закрити розрив наступного року.

Очевидно, покаже лише час.

Тим часом отримати менші розміри штампів важче за допомогою традиційних літографічних інструментів, які використовуються для травлення ліній у кремнієву стружку. Іммерсійна літографія, якою галузь користується роками, досягла своєї межі, тому продавці зараз звертаються до «подвійного малювання» або навіть більше проходів, щоб отримати більш точні розміри. Незважаючи на те, що останнім часом ми спостерігаємо певний прогрес, довгоочікуваний крок до екстремальної ультрафіолетової (EUV) літографії, який повинен забезпечити більш точний контроль, залишається роками.

Такі речі, як FinFET та багаторазове малювання, допомагають зробити чіпи наступного покоління, але за рахунок збільшення витрат. Дійсно, низка аналітиків стверджує, що витрати на транзистор виробництва на 20 нм не можуть бути поліпшенням порівняно з витратами на 28 нм через необхідність подвійного малювання. І нові структури, такі як FinFET, ймовірно, також будуть дорожчими, принаймні на початку.

Як результат, багато виробників чіпів шукають ще екзотичніші методи підвищення щільності, навіть якщо традиційні методи Закону Мура не працюють.

Флеш-пам’ять NAND використовує найсучаснішу технологію технологічного процесу, тому вона вже стикається з серйозними проблемами із звичайним горизонтальним масштабуванням. Рішення полягає у створенні вертикальних рядків NAND. Окремі комірки пам’яті не стануть меншими, але через те, що ви можете скласти стільки один на одного - все на одній підкладці - ви отримуєте набагато більшу щільність у тому ж сліді. Наприклад, 16-шаровий 3D NAND чіп, виготовлений на 40nm процесі, буде приблизно еквівалентний звичайному 2D NAND мікросхемі, виготовленому на 10nm процесі (найсучасніший процес, який зараз використовується - 16 nm). Samsung каже, що вже виробляє V-NAND (Vertical-NAND), і Toshiba та SanDisk будуть слідувати тому, що називає p-BiCS. Micron та SK Hynix також розробляють 3D NAND, але, здається, зосереджені на стандартній 2D NAND протягом наступних двох років.

Зауважте, що це не те саме, що укладання 3D-чіпів. Пам'ять DRAM також вражає стіну масштабування, але вона має іншу архітектуру, яка вимагає одного транзистора та одного конденсатора в кожній комірці. Рішення тут полягає в тому, щоб скласти кілька виготовлених мікросхем пам'яті DRAM один на одного, просвердлити отвори через підкладки, а потім з'єднати їх за допомогою технології, що називається через-silicon-vias (TSV). Кінцевий результат такий же - більша щільність при меншому розмірі - але це більше вдосконалений процес упаковки, ніж новий процес виготовлення. Промисловість планує використовувати цю саму техніку для встановлення пам’яті на логіку не тільки для обрізання сліду, але і для підвищення продуктивності та зниження потужності. Одне з рішень, яке привернуло багато уваги - це гібридний куб пам'яті Micron. Врешті-решт, укладання 3D-мікросхем може бути використане для створення потужних мобільних мікросхем, які поєднують в одному пакеті процесори, пам'ять, датчики та інші компоненти, але все ще існує багато проблем, які слід вирішити з виготовленням, тестуванням та експлуатацією цих так званих різнорідних 3D стеки.

Але саме наступне покоління техніки, про яке говорили виробники чіпів, здається набагато екзотичнішим. На чіп-конференціях ви багато чуєте про спрямовану самостійну збірку (DSA), в якій нові матеріали насправді збираються в основну схему транзисторів - принаймні для одного шару чіпа. Це звучить трохи як наукова фантастика, але я знаю багатьох дослідників, які вважають, що це насправді зовсім не за горами.

Тим часом інші дослідники розглядають клас нових матеріалів - відомий як напівпровідники III-V у більш традиційних стилях виготовлення; а інші дивляться на різні напівпровідникові структури для доповнення або заміни FinFET, наприклад, нанопроводів.

Інший метод зниження витрат - це виготовлення транзисторів на більшій пластині. Промисловість пережила такі переходи, перш ніж перейти від пластин 200 мм до пластин 300 мм (діаметром близько 12 дюймів) близько десяти років тому. Зараз багато говорять про перехід на 450 мм вафлі, при цьому більшість великих виробників вафель та постачальників інструментів створюють консорціум для перегляду необхідних технологій. Такий перехід повинен зменшити виробничі витрати, але матиме високі капітальні витрати, оскільки це вимагатиме нових фабрик та нового покоління інструментів для виготовлення чіпів. Intel в Арізоні має завод, який може виробляти 450 мм, але затримує замовлення інструментів, і багато виробників інструментів також затримують свої пропозиції, тому, швидше за все, перше реальне виробництво вафель 450 мм не буде 2019 або 2020 року.

Здається, все стає складніше і дорожче. Але це стосується виготовлення напівпровідників з самого початку. Велике питання завжди полягає в тому, чи будуть поліпшення продуктивності та додаткова щільність коштувати додаткових витрат у виробництві.

ISSCC: Розширення закону Мура

Як розширити Закон Мура було головною темою на Міжнародній конференції твердих тіл минулого місяця (ISSCC). Марк Горовіц, професор університету Стенфордського університету та засновник компанії Rambus, зазначив, що причина, у якій ми сьогодні робимо обчислення, полягає в тому, що обчислення стали дешевими, через закон Мура та правила Деннара щодо масштабування. Це призвело до сподівань, що обчислювальні пристрої ставатимуть все дешевшими, меншими та потужнішими. (Стенфорд намітив продуктивність процесорів протягом часу на сайті cpudb.stanford.edu).

Але він зазначив, що тактова частота мікропроцесорів перестала масштабуватися приблизно в 2005 році, оскільки щільність потужності стала проблемою. Інженери досягли реальної межі потужності - оскільки вони не могли зробити мікросхеми більш гарячими, тому тепер усі обчислювальні системи обмежені потужністю. Як він зазначив, масштабування потужності - напруга живлення - змінюється дуже повільно.

Перша схильність галузі вирішити цю проблему - змінити технологію. "На жаль, я не оптимістичний, що ми збираємося знайти технологію заміни CMOS на обчислення", - сказав він, як з технічних, так і з економічних проблем. Єдиний спосіб отримати операції за секунду, щоб збільшити, отже, це зменшити енергію за одну операцію, сказав він, припустивши, що саме тому сьогодні всі багатоядерні процесори, навіть у своїх мобільних телефонах. Але проблема полягає в тому, що ви не можете продовжувати додавати сердечники, оскільки ви швидко потрапляєте до точки зменшення віддачі з точки зору енергії продуктивності та площі штампу. Дизайнери процесорів знають про це вже деякий час і довго оптимізували процесори.

Горовіц сказав, що ми не повинні забувати про енергію, яку споживає пам'ять. У своїй презентації він показав розподіл енергії для поточного, неідентифікованого 8-ядерного процесора, в якому ядра процесора використовували близько 50 відсотків енергії, а пам'ять на випал (L1, L2 і L3) використовувала інші 50 відсотків . Сюди навіть не входить зовнішня системна пам'ять DRAM, яка може скласти на 25 відсотків більше всього енергоспоживання системи.

Багато людей говорять про використання спеціалізованого обладнання (наприклад, ASIC), яке може бути в тисячу разів краще за енергію на одну операцію порівняно з центральним процесором. Але, як зазначив Горовіц, ефективність тут частково пояснюється тим, що вона використовується для конкретних програм (таких як обробка модемом, обробка зображень, стиснення та декомпресія відео), які в основному не дуже доступні пам’яті. Ось чому це так допомагає енергією - справа не стільки в апаратному забезпеченні, скільки в переміщенні алгоритму в набагато більш обмежений простір.

Погана новина полягає в тому, що це означає, що програми, які ви можете створити, обмежені. Хороша новина полягає в тому, що ви, можливо, зможете створити більш загальний двигун, який би міг обробляти такі програми з "високою локальністю", тобто їм не потрібно мати доступ до пам'яті. Він позначає це як Високо локальну модель обчислень та "трафаретні програми", які можуть працювати на ній. Це, звичайно, вимагає нової моделі програмування. Стенфорд розробив специфічну для домену мову - компілятор, який може створювати ці трафаретні програми та запускати їх на FPGA та ASIC.

Також на конференції ISSCC Мінг-Кай Цай, голова та генеральний директор MediaTek, сказав, що люди запитують з початку 1990-х років, як довго буде тривати закон Мура. Але, як Гордон Мур сказав в ISSCC в 2003 році, "жодна експоненція не є вічною. Але ми можемо її відкласти назавжди". Промисловість зробила велику роботу, підтримуючи закон Мура більш-менш, сказав він. Вартість транзистора продовжувала історичний спад. Вартість 100 грамів рису (близько 10 копійок) ви могли придбати лише 100 транзисторів у 1980 році, але до 2013 року ви могли придбати 5 мільйонів транзисторів.

Цай заявив, що мобільні пристрої досягли стелі через те, що процесори не можуть ефективно працювати на швидкостях понад 3 ГГц і через те, що технологія акумуляторів значно не покращилася. MediaTek працює над цією проблемою, використовуючи багатоядерні процесори та неоднорідну багатопроцесорну технологію (HMP). Він сказав, що компанія представила перший справжній 8-ядерний процесор HMP в 2013 році, а на початку цього тижня оголосила 4-ядерний процесор, використовуючи технологію PTP (Performance, Thermal і Power) для подальшого підвищення продуктивності та зниження енергії. Він також розповів про швидкий прогрес в галузі зв'язку. Багато мобільних додатків, які раніше були неможливі, тепер є життєздатними через такі покращення в мережах WLAN та WWAN, сказав він.

MediaTek працює над різними технологіями для "Cloud 2.0", включаючи рішення для бездротової зарядки, SoC "Aster" для носячих предметів (розміром лише 5, 4x6, 6 міліметра) та гетерогенні системи в рамках фонду HSA, сказав він. За словами Цая, Cloud 2.0 характеризуватиметься ще багатьма пристроями, зокрема носимими, - із значно більшою кількістю радіостанцій; більше 100 радіо на людину до 2030 року.

Головними проблемами для Cloud 2.0 будуть енергія та пропускна здатність, сказав Цай. Перший потребуватиме інноваційних інтегрованих систем, апаратних та програмних рішень; краща технологія акумулятора; і деякі форми збирання енергії. Друга вимагатиме більш ефективного використання наявного спектру, адаптивних мереж та більш надійного зв’язку.

Що б не трапилось із створенням чіпів, це, безумовно, призведе до нових додатків та нових рішень, з якими зіткнуться виробники чіпів, дизайнери продуктів та в кінцевому підсумку кінцеві користувачі.

Виклики чіпінгу стикаються із законом Мура